shtaxxx日記

コンピュータアーキテクチャについて研究している研究者の日記や技術紹介

2010-11-01から1日間の記事一覧

Verilog HDLのgenerate文のスコープについて

Verilog HDLではgenerate文を使うことで変数やfunction文のスコープを定義することができる.本当にfunction文にスコープが有効なのか気になったので,試してみる.次のテスト用コードGENには3つのfunction testが定義されている. GEN内で共通のfunction te…