shtaxxx日記

コンピュータアーキテクチャについて研究している研究者の日記や技術紹介

2011-01-11から1日間の記事一覧

Icarus Verilog + vvpでシミュレーション時に引数を渡す

当該する引数がない場合,"$value$plusargs()"は0を返す. 引数は +arg=val のように書く. reg [2:0] mode; initial begin if(! $value$plusargs("mode=%d",mode) ) begin mode = 1; end $display(mode); end iverilog -o main.vvp main.v $ vvp main.vvp +…