shtaxxx日記

コンピュータアーキテクチャについて研究している研究者の日記や技術紹介

2012-06-19から1日間の記事一覧

Cycle-accurate Full-system Simulator MARSSを使ってみる (1)

MARSSとは QEMUをベースとしたx86環境のCycle-accurate(クロックサイクルレベルで正確な)システムシミュレータ. コアの構成はモダンなOut-of-OrderなコアやAtomライクな軽量なコアをベースに変更することができ,キャッシュやインターコネクト周りの構成…