2012-03-01から1ヶ月間の記事一覧
リストに格納して"in"で検索・リストに格納してcount()で検索・ディクショナリに格納してhas_key()で検索の3つの方法を試してみる import sys import os import time size = 10000000 t = [] for i in range(size): t.append(str(i)) h = {} for i in range(…
計算機アーキテクチャ研究会で発表するために長崎大学へ 3月27日,28日に長崎大学で開催された計算機アーキテクチャ研究会で発表してきました. 発表資料 高機能ルータを利用したDMR実行メニーコアにおける効率的なタスク配置手法の検討 (IPSJ SIG-ARC 2012-…
ARC 2012で発表するために香港へ リコンフィギャラブルシステム系の国際会議 ARC (Applied Reconfigurable Computing) 2012で発表してきました. 今回は香港のChinese University of Hong Kongで3月21日から3月23日の3日間,開催されました. 発表資料 Scala…
ASPLOS 2012に参加するためにロンドンへ ISCA, MICROと並んで計算機アーキテクチャ系のトップカンファレンスである,ASPLOS (Architectural Support for Programming Languages and Operating Systems) 2012に参加してきました. 今回は3月3日から3月7日の5…
ARC2012のReceptionにて 話の取りかかりとして,"Ph.D. student?"と聞いたり聞かれたりしたんだけど,その回答で,Ph.Dコースの1年目と答えるべきか,Ph.D.コースの3年目と答えるべきか,よくわからなかった.「日本はPh.D.をとるのに修士課程に2年間通って…
いろいろ最近新刊を買っていない.買う物のメモ まりあ†ほりっく 9巻 ゴールデンタイム 4巻 君に届け 15巻 ちはやふる 16巻
scope1でreg宣言だけをするとSyntax Error module Test; reg [7:0] tmp0, tmp1; initial begin tmp1 = 0; #10; tmp1 = 1; #10; $finish; end always@* begin: scope0 reg [7:0] tmp0; begin: scope1 reg [7:0] tmp0; //tmp0 = tmp1; end tmp0 = tmp1 + 1; en…
scope0とscope1のそれぞれでreg [7:0] aを宣言 module Test; reg [7:0] b; always @* begin: scope0 reg [7:0] a; a = b; begin: scope1 reg [7:0] a; a = b + 1; $display("scope1: a=%d", a); end $display("scope0: a=%d", a); end initial begin b = 10;…