shtaxxx日記

コンピュータアーキテクチャについて研究している研究者の日記や技術紹介

2012-07-22から1日間の記事一覧

Verilog HDLシミュレーション時に引数を渡す

シミュレーション実行時に引数を渡す $value$plusargs("arg_description", arg_var)を使う.当該引数がない場合には$value$plusargs()は0を返す. テストコード (test.v) ARG0とARG1が引数名,var_aとvar_bが実際に引数が渡される変数. module test; reg [7…