2012-01-01から1年間の記事一覧
MARSSとは QEMUをベースとしたx86環境のCycle-accurate(クロックサイクルレベルで正確な)システムシミュレータ. コアの構成はモダンなOut-of-OrderなコアやAtomライクな軽量なコアをベースに変更することができ,キャッシュやインターコネクト周りの構成…
インストール aptの中をperfで検索しても出てきません.正解はlinux-tools. sudo apt-get install linux-tools必要に応じて,linux-tools-3.0なども追加.(3.0の部分はカーネルバージョンによって異なる)
3月末に投稿していたとある国際会議の論文がRejectされました. しょぼーん(´・ω・`)Strong Acceptという査読結果の人もいたんだけどなぁ,トータルではダメだったみたいです.ここ1年の国際会議投稿における成績は,5戦 2勝 3敗. 投稿するのは大事だけど、…
予めVirtualBox Guest Additionsをインストールしておくこと. まず,VirtualBox上の設定で共有フォルダを作成する. 次に,/etc/rc.local に以下を追記し,再起動する. 心配ならば,以下のコマンドを直接実行することでテストが可能. mount -t vboxsf -o …
まず,"デバイス→Guest Additionsのインストール"を選択する.次に以下を実行する. sudo mount /dev/dvd1 /mnt cd /mnt sudo sh VBoxLinuxAdditions.run
第0回 Reconfigurable Computing勉強会 http://atnd.org/events/28445FPGAなどのリコンフィギャラブルデバイスや動的再構成プロセッサなどに関する勉強会を開催します. 第0回は予行練習ということで,研究や開発プロジェクトの内容紹介やディスカッションな…
アイデアを塩漬けにしない〜世界の人に手伝ってもらう方法〜 http://www.slideshare.net/nishio/ss-12725054 研究でも,アイデアを塩漬けにはしたくないな. やる気は確かに有限だけど,自分のやる気の総量の限界はまだまだ高いんじゃないかなぁと思っている…
さて自分はどちらになれるでしょうか.
標準レンズ Nikon AF-S DX NIKKOR 18-55mm f/3.5-5.6G VRのレンズキャップは レンズキャップ52mm LC-52
後輩がファーストのワークショップ論文の投稿完了. ここ2週間の集中のおかげで,短い期間ながらも英語論文という形でまとめることができるまでに進めることができてよかった. お疲れ様でした.
なんで今まで知らなかったんだ・・・ find ./ -name 'hoge' -exec command_name {} \; コマンドの実行結果をリダイレクトするには'sh -c'を利用 find ./ -name 'hoge' -exec sh -c 'command_name {} > {}.rslt' \;
ふとtwitterでgenerateなしのfor文を利用している例があることを知り,試してみました. generateなしでforをべた書き,スコープを定義 module testtest; wire [3:0] OUT0, OUT1; test uut (OUT0, OUT1); initial begin $dumpfile("uut.vcd"); $dumpvars(0, …
リストに格納して"in"で検索・リストに格納してcount()で検索・ディクショナリに格納してhas_key()で検索の3つの方法を試してみる import sys import os import time size = 10000000 t = [] for i in range(size): t.append(str(i)) h = {} for i in range(…
計算機アーキテクチャ研究会で発表するために長崎大学へ 3月27日,28日に長崎大学で開催された計算機アーキテクチャ研究会で発表してきました. 発表資料 高機能ルータを利用したDMR実行メニーコアにおける効率的なタスク配置手法の検討 (IPSJ SIG-ARC 2012-…
ARC 2012で発表するために香港へ リコンフィギャラブルシステム系の国際会議 ARC (Applied Reconfigurable Computing) 2012で発表してきました. 今回は香港のChinese University of Hong Kongで3月21日から3月23日の3日間,開催されました. 発表資料 Scala…
ASPLOS 2012に参加するためにロンドンへ ISCA, MICROと並んで計算機アーキテクチャ系のトップカンファレンスである,ASPLOS (Architectural Support for Programming Languages and Operating Systems) 2012に参加してきました. 今回は3月3日から3月7日の5…
ARC2012のReceptionにて 話の取りかかりとして,"Ph.D. student?"と聞いたり聞かれたりしたんだけど,その回答で,Ph.Dコースの1年目と答えるべきか,Ph.D.コースの3年目と答えるべきか,よくわからなかった.「日本はPh.D.をとるのに修士課程に2年間通って…
いろいろ最近新刊を買っていない.買う物のメモ まりあ†ほりっく 9巻 ゴールデンタイム 4巻 君に届け 15巻 ちはやふる 16巻
scope1でreg宣言だけをするとSyntax Error module Test; reg [7:0] tmp0, tmp1; initial begin tmp1 = 0; #10; tmp1 = 1; #10; $finish; end always@* begin: scope0 reg [7:0] tmp0; begin: scope1 reg [7:0] tmp0; //tmp0 = tmp1; end tmp0 = tmp1 + 1; en…
scope0とscope1のそれぞれでreg [7:0] aを宣言 module Test; reg [7:0] b; always @* begin: scope0 reg [7:0] a; a = b; begin: scope1 reg [7:0] a; a = b + 1; $display("scope1: a=%d", a); end $display("scope0: a=%d", a); end initial begin b = 10;…
こうあるべき,こうありたい,と思うその形と, 現実の自分とのギャップが大きすぎてツライ気持ちになる. どうやってそのギャップを埋めるのかを考えて,少しずつでも解決していくのが良いのはわかっているけど,やっぱり気持ちだけが先走ってしまうなぁ.
自分の時間の使い方の下手さ加減がとても嫌になる. 仕事がどんどん遅れていくー 気分を心機一転,切り替えて頑張っていくしかない くさるなくさるな
自分では些細だと思っていたことで,とても不快な思いをさせてしまった. それだけではなく,とても気を遣わせてしまった. そしてその報いは自分に返ってくる. 本当に申し訳ない.
まずclangでLLVMアセンブリコードにコンパイルする clang -emit-llvm -S -o main.S main.c llvm-asでアセンブリコードをLLVMバイトコードに変換 llvm-as -o main.bc main.S lli (LLVM Interpreter) で実行する lli main.bc
はてなブログ,はじめました. はてなダイアリーの方があるので,どうやって使い分けるかはこれから考えます(`・ω・´)
"netstat -r" でわかる 受信先サイト ゲートウェイ ネットマスク フラグ MSS Window irtt インタフェース default 10.0.2.2 0.0.0.0 UG 0 0 0 eth0 10.0.2.0 * 255.255.255.0 U 0 0 0 eth0 192.168.56.0 * 255.255.255.0 U 0 0 0 eth1
isinstance(object, type) を使う n = 100 class Sample1(): def __init__(self, arg): self.arg = arg class Sample2(): def __init__(self, arg): self.arg = arg s1 = Sample1('arg1') s2 = Sample2('arg2') print isinstance(n, int) print isinstance(s…